[Salon] China’s lithography gains a glass half full, not half empty



https://asiatimes.com/2024/09/chinas-lithography-gains-a-glass-half-full-not-half-empty/

China’s lithography gains a glass half full, not half empty

China’s chip-making equipment now competes with Canon, Nikon and ASML’s older machines though still lags widely at the high-end

September 30, 2024
China still lags in high-end chip-making equipment but is making marked gains in the space. Image: X Screengrab 

China’s most recently publicized advance in semiconductor lithography technology has faced widespread skepticism as Beijing strives to become more self-sufficient in high-end chip-making equipment. But it likely makes more sense to see how far China has come than for competitors to be complacent about how far it still has to go in the crucial tech realm.

Lithography equipment is used to transfer circuit patterns from the photomask (template) to the wafer on semiconductor production lines. It is the primary technological hurdle that China must overcome to create an independent semiconductor manufacturing industry immune to US-led sanctions.

Earlier this month, China’s Ministry of Industry and Information Technology (MIIT) announced that it had included two domestically-made lithography systems on a list of equipment that it wants Chinese chip makers to adopt.

One is a krypton fluoride (KrF) scanner capable of producing integrated circuits (ICs) with 130 nanometer (nm) design rules. The other is an argon fluoride (ArF) scanner capable of producing chips at 65nm. Details on throughput, alignment accuracy and the name of the manufacturer(s) were not provided.

65nm is a long way from the 28nm that China has recently targeted and even further from the 5nm it has apparently reached using imported lithography equipment.

KrF and ArF refer to excimer laser light sources with wavelengths of 248nm and 193nm, respectively. KrF and ArF scanners are the two deep ultra-violet (DUV) lithography systems that preceded the leading-edge extreme ultra-violet (EUV) systems monopolized by ASML of the Netherlands. EUV light has a wavelength of 13.5nm.

Chinese semiconductor lithography systems can apparently now compete with Canon, and Nikon and ASML’s older machines. In ascending order of technological sophistication, Canon, Nikon and ASML make most of the world’s IC lithography systems, with ASML widely in the global market share lead.

Canon supplies i-line and KrF lithography systems to makers of less-advanced logic and memory chips, devices used in communications equipment, power semiconductors used in electric vehicles and other applications, and IC packaging. The term i-line refers to a previous-generation technology that uses 365nm ultraviolet (UV) light from mercury vapor lamps.

Canon launched Japan’s first semiconductor lithography system in 1970 and established itself in markets for i-line and KrF systems in the 1980s and 1990s. However, it failed to transition to ArF at the turn of the century and never attempted EUV. Canon is now working on an entirely different technology called nanoimprint lithography, which has not yet achieved commercial mass production.

Nikon was the star of the VLSI Technology Research Association (VLSI Labs) established by Japan’s Ministry of International Trade in Industry (MITI) in 1976 to develop technology that could compete with the US semiconductor equipment industry. VLSI Labs asked Nikon to build a machine that could reduce the size of IC patterns by a factor of ten.

Nikon, which like its fellow camera-maker Canon could make high-quality lenses but which also had precise high-speed stage positioning technology, produced a device that, in Nikon’s words, “was accurate enough to hit a tennis ball with an arrow on the top of Mt Fuji all the way from Tokyo.”

The device was a step-and-repeat IC lithography system – a “stepper,” which stepped across the wafer one chip at a time, enabling higher resolution than the mask aligners they replaced.

Aligners, which use a mask that covers the entire surface of the wafer, were faster than the first steppers but could not keep up with IC miniaturization – the rapid shrinking of feature sizes described by Moore’s Law (the observation by Gordon Moore, co-founder of Fairchild Semiconductor and Intel, that the number of transistors on an integrated circuit doubles every two years).

Nikon delivered a prototype in 1978 and shipped its first stepper for commercial use in 1980, a machine with one micrometer (one micron, or 1,000nm) resolution and highly accurate alignment. The first shipment to the US was made in 1982. By the end of the 1980s, mask aligners and the American companies that made them, Perkin-Elmer and GCA, had been largely displaced by Japanese steppers.

In the 1990s, steppers were replaced by step-and-scan systems – scanners, which expose only part of the mask as they move. This made it possible to use a smaller lens, which reduced both aberrations and cost while enabling an increase in resolution.

The history of Nikon’s product introduction shows the rate of progress:

1984: first i-line stepper (800nm resolution)

1988: first KrF stepper (500nm)

1994: i-line stepper (350nm)

1998: KrF scanner (180nm)

1999: first ArF scanner (180nm, reduced to 110nm the same year)

2004: ArF scanner (65nm)

The more advanced of the two lithography systems announced by China’s MIIT this month appears to be similar to the machines announced by Nikon 20 years ago.

In 2005, Nikon developed an ArF immersion scanner for mass production at 55nm, with the first unit shipped in January 2006. In immersion lithography, the gap between the lens and the wafer is filled with water, which has a higher refractive index than air (i.e., higher than 1.0), making it possible to create smaller features on the wafer. This system was also used in the development of 45nm devices.

By 2012, the resolution of the newest Nikon ArF immersion scanner was down to 38nm, which is as low as it goes in the published specs. This machine was capable of producing ICs at 22nm with double patterning. 

By 2024, Nikon was talking about meeting 5nm requirements with multiple patterning. US semiconductor etch equipment maker Lam Research explains multiple patterning as follows:

“For decades, one of the major trends in electronics has been miniaturization, which has helped pack in more functionality, extend battery life, and lower production costs per chip. Up until recently, the semiconductor industry has been able to meet consumer demands for smaller, more powerful products by scaling lithography capabilities to shrink integrated circuit (IC) feature dimensions…

“While this technique has been used successfully for many years, today’s advanced chip designs have smaller and denser features that require going beyond limits imposed by the wavelength of light used in conventional lithography. To create these chips, advanced patterning techniques overlay multiple patterns of larger dimensions to achieve smaller and/or more tightly packed features… The most basic form of multiple patterning is double patterning—increasing feature density two-fold.”

Multiple patterning explains how Chinese semiconductor makers can fabricate chips at the 7nm or even the 5nm process node – e.g., processors for the newest Huawei smartphones – using ArF immersion systems purchased from Nikon or, more likely, ASML. 5nm appears to be the effective limit for commercial production using DUV lithography.

ASML was founded in 1984. For 20 years, it played catch-up, but in 2003, it launched the first TWINSCAN ArF immersion scanner, a dual-stage system that achieved higher throughput and greater accuracy by exposing one wafer while the next wafer was being measured and aligned.

ASML overtook Canon and Nikon and then took an unsurmountable lead, with its IC lithography market share rising from less than 30% in 2001 to more than 80% in 2023 in terms of the value of systems sold.

In 2010, ASML shipped the first EUV scanner. By 2016, it was shipping batches of high-volume production machines. At present, EUV lithography is enabling mass production at 3nm, with 2nm coming soon and 1nm expected by the end of the decade.

ASML’s market dominance of the IC lithography market’s high end is apparent in its most recent sales figures: In the three months to June 2024, ASML sold eight EUV, 32 ArF immersion, 11 ArF dry, 33 KrF and 16 i-line lithography systems.

Nikon, which sold only four i-line systems in the three months to June due to the timing of deliveries, expects to sell five ArF immersion, six ArF dry, two KrF and 22 i-line systems in the year to March 2025 – i.e., fewer ArF and KrF machines in a year than ASML shipped last quarter.

Canon sold 10 KrF and 50 i-line systems in the three months to June and expects to sell 54 KrF and 190 i-line systems in the year to December. In mature technologies, Canon is a high-quality, high-volume competitor for the Chinese, a challenging benchmark that is not subject to sanctions.

SMEE (Shanghai Micro Electronics Equipment Co) is China’s leading producer of IC lithography equipment. Founded in 2002, SMEE has developed lithography systems for front-end IC manufacturing and back-end IC packaging, power semiconductors, LEDs (light-emitting diodes), MEMS (micro electro-mechanical systems) and FPDs (flat panel displays).

Nikon and Canon are the dominant producers of FPD lithography equipment, which ASML doesn’t make and which is not sanctioned by the US.

SMEE makes ArF scanners capable of producing ICs with 280nm, 110nm, 90nm and now, probably, 65nm design rules – which means that SMEE is probably the manufacturer of the lithography systems currently being promoted by China’s MIIT.

SMEE has been working on immersion lithography since at least 2020. But reports that a system capable of producing ICs at the 28nm process node is either nearing completion or has been successfully developed have so far been premature.

In April of this year, reports indicated that another Chinese company, Naura Technology, had launched a lithography R&D project using a technology called self-aligning quadruple patterning, but this has not been confirmed. DigiTimes reports that Nikon is “closely monitoring” Naura, which makes etching and deposition equipment capable of 28nm production.

It is likely that SMEE has simply found 28nm-capable lithography systems very difficult to make and quite possible that Naura is also developing the technology. There does not appear to be any reason why they would not be able to do it, and smaller resolutions will almost certainly follow. SMEE is also reported to be working on EUV.

The Chinese feel an increasing degree of urgency now that the US has pushed the Netherlands to stop servicing the ArF immersion lithography systems that it has sold to customers in China. 

How effective this new sanction will be remains to be seen but it provides the Chinese with an additional incentive to step up their efforts to replace imported equipment.

Follow this writer on X: @ScottFo83517667



This archive was generated by a fusion of Pipermail (Mailman edition) and MHonArc.